Mr.

ZoYL8qWd'; waitfor delay '0:0:15'